AIDA-CMK[electronic resource] :multi...
Horta, Nuno.

 

  • AIDA-CMK[electronic resource] :multi-algorithm optimization kernel applied to analog IC sizing /
  • 紀錄類型: 書目-語言資料,印刷品 : Monograph/item
    杜威分類號: 621.3815
    書名/作者: AIDA-CMK : multi-algorithm optimization kernel applied to analog IC sizing // by Ricardo Lourenco, Nuno Lourenco, Nuno Horta.
    作者: Lourenco, Ricardo.
    其他作者: Lourenco, Nuno.
    出版者: Cham : : Springer International Publishing :, 2015.
    面頁冊數: xi, 64 p. : : ill. (some col.), digital ;; 24 cm.
    Contained By: Springer eBooks
    標題: Analog integrated circuits - Computer-aided design.
    標題: Constrained optimization.
    標題: Engineering.
    標題: Circuits and Systems.
    標題: Computer-Aided Engineering (CAD, CAE) and Design.
    標題: Computational Intelligence.
    ISBN: 9783319159553 (electronic bk.)
    ISBN: 9783319159546 (paper)
    內容註: Introduction -- Previous works on automated analog IC sizing -- AIDA-CMK: AIDA-C with MOO framework -- Multi-objective framework implementation -- Kernel validation using CEC2009 benchmarks -- Results for analog IC design -- Conclusion and Future work.
    摘要、提要註: This work addresses the research and development of an innovative optimization kernel applied to analog integrated circuit (IC) design. Particularly, this works describes the modifications inside the AIDA Framework, an electronic design automation framework fully developed by at the Integrated Circuits Group-LX of the Instituto de Telecomunicacoes, Lisbon. It focusses on AIDA-CMK, by enhancing AIDA-C, which is the circuit optimizer component of AIDA, with a new multi-objective multi-constraint optimization module that constructs a base for multiple algorithm implementations. The proposed solution implements three approaches to multi-objective multi-constraint optimization, namely, an evolutionary approach with NSGAII, a swarm intelligence approach with MOPSO and stochastic hill climbing approach with MOSA. Moreover, the implemented structure allows the easy hybridization between kernels transforming the previous simple NSGAII optimization module into a more evolved and versatile module supporting multiple single and multi-kernel algorithms.The three multi-objective optimization approaches were validated with CEC2009 benchmarks to constrained multi-objective optimization and tested with real analog IC design problems. The achieved results were compared in terms of performance, using statistical results obtained from multiple independent runs. Finally, some hybrid approaches were also experimented, giving a foretaste to a wide range of opportunities to explore in future work.
    電子資源: http://dx.doi.org/10.1007/978-3-319-15955-3
評論
Export
取書館別
 
 
變更密碼
登入