回首頁 到查詢結果 [ subject:"High performance computing." ]

 

  • Embedded computing for high performance[electronic resource] :efficient mapping of computations using customization, code transformations and compilation /
  • 紀錄類型: 書目-電子資源 : Monograph/item
    杜威分類號: 004.16
    書名/作者: Embedded computing for high performance : efficient mapping of computations using customization, code transformations and compilation // João M.P. Cardoso, José Gabriel F. Coutinho, Pedro C. Diniz.
    作者: Cardoso, João M. P.,
    其他作者: Coutinho, José Gabriel de Figueiredo,
    出版者: Cambridge, MA : : Morgan Kaufmann Publishers, an imprint of Elsevier,, 2017.
    面頁冊數: 1 online resource (xxi, 297 p.) : : ill. (some col.)
    標題: Embedded computer systems.
    標題: High performance computing.
    ISBN: 9780128041994 (electronic bk.)
    ISBN: 0128041994 (electronic bk.)
    ISBN: 9780128041895
    ISBN: 0128041897
    書目註: Includes bibliographical references and index.
    內容註: Front Cover; Embedded Computing for High Performance: Efficient Mapping of Computations Using Customization, CodeTransformations and Com ... ; Copyright; Dedication; Contents; About the Authors; Preface; Acknowledgments; Abbreviations; Chapter 1: Introduction; 1.1. Overview; 1.2. Embedded Systems in Society and Industry; 1.3. Embedded Computing Trends; 1.4. Embedded Systems: Prototyping and Production; 1.5. About LARA: An Aspect- Oriented Approach; 1.6. Objectives and Target Audience; 1.7. Complementary Bibliography; 1.8. Dependences in Terms of Knowledge; 1.9. Examples and Benchmarks.
    摘要、提要註: Embedded Computing for High Performance: Design Exploration and Customization Using High-level Compilation and Synthesis Tools provides a set of real-life example implementations that migrate traditional desktop systems to embedded systems. Working with popular hardware, including Xilinx and ARM, the book offers a comprehensive description of techniques for mapping computations expressed in programming languages such as C or MATLAB to high-performance embedded architectures consisting of multiple CPUs, GPUs, and reconfigurable hardware (FPGAs). The authors demonstrate a domain-specific language (LARA) that facilitates retargeting to multiple computing systems using the same source code. In this way, users can decouple original application code from transformed code and enhance productivity and program portability. After reading this book, engineers will understand the processes, methodologies, and best practices needed for the development of applications for high-performance embedded computing systems.
    電子資源: https://www.sciencedirect.com/science/book/9780128041895
評論
Export
取書館別
 
 
變更密碼
登入